---------------------------------------------------------------------------------- -- Group: Cal Poly SLO CPE 133 Final Project -- Engineer: Chad Carlson, Nyssa Backes, Warren Van Velkinburgh, Cailey Kamm -- -- Create Date: 11/30/2015 06:08:20 PM -- Design Name: Comparator -- Module Name: comparator - Behavioral -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Compares Count to Zero to Idicate if Lights Should be On or Off -- entity comparator is Port ( Count : in STD_LOGIC_VECTOR (7 downto 0); State : out STD_LOGIC); end comparator; architecture Behavioral of comparator is begin compare: process (Count) begin if (Count > x"00") then State <= '1'; else State <= '0'; end if; end process; end Behavioral;