#clock pin set_property PACKAGE_PIN W5 [get_ports {Clk}] set_property IOSTANDARD LVCMOS33 [get_ports {Clk}] #create hardware clock #create_clock -add -name Clk -period 10.00 -waveform {0 5] [get_ports {Clk}] #Digits set_property PACKAGE_PIN W4 [get_ports {Digits[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {Digits[3]}] set_property PACKAGE_PIN V4 [get_ports {Digits[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {Digits[2]}] set_property PACKAGE_PIN U4 [get_ports {Digits[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {Digits[1]}] set_property PACKAGE_PIN U2 [get_ports {Digits[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {Digits[0]}] #Segments set_property PACKAGE_PIN W7 [get_ports {Segments[6]}] set_property IOSTANDARD LVCMOS33 [get_ports {Segments[6]}] set_property PACKAGE_PIN W6 [get_ports {Segments[5]}] set_property IOSTANDARD LVCMOS33 [get_ports {Segments[5]}] set_property PACKAGE_PIN U8 [get_ports {Segments[4]}] set_property IOSTANDARD LVCMOS33 [get_ports {Segments[4]}] set_property PACKAGE_PIN V8 [get_ports {Segments[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {Segments[3]}] set_property PACKAGE_PIN U5 [get_ports {Segments[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {Segments[2]}] set_property PACKAGE_PIN V5 [get_ports {Segments[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {Segments[1]}] set_property PACKAGE_PIN U7 [get_ports {Segments[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {Segments[0]}] # Switches 15-12 set_property PACKAGE_PIN R2 [get_ports {Switch[15]}] set_property IOSTANDARD LVCMOS33 [get_ports {Switch[15]}] set_property PACKAGE_PIN T1 [get_ports {Switch[14]}] set_property IOSTANDARD LVCMOS33 [get_ports {Switch[14]}] set_property PACKAGE_PIN U1 [get_ports {Switch[13]}] set_property IOSTANDARD LVCMOS33 [get_ports {Switch[13]}] set_property PACKAGE_PIN W2 [get_ports {Switch[12]}] set_property IOSTANDARD LVCMOS33 [get_ports {Switch[12]}] # Switches 11-8 set_property PACKAGE_PIN R3 [get_ports {Switch[11]}] set_property IOSTANDARD LVCMOS33 [get_ports {Switch[11]}] set_property PACKAGE_PIN T2 [get_ports {Switch[10]}] set_property IOSTANDARD LVCMOS33 [get_ports {Switch[10]}] set_property PACKAGE_PIN T3 [get_ports {Switch[9]}] set_property IOSTANDARD LVCMOS33 [get_ports {Switch[9]}] set_property PACKAGE_PIN V2 [get_ports {Switch[8]}] set_property IOSTANDARD LVCMOS33 [get_ports {Switch[8]}] # Switches 7-4 set_property PACKAGE_PIN W13 [get_ports {Switch[7]}] set_property IOSTANDARD LVCMOS33 [get_ports {Switch[7]}] set_property PACKAGE_PIN W14 [get_ports {Switch[6]}] set_property IOSTANDARD LVCMOS33 [get_ports {Switch[6]}] set_property PACKAGE_PIN V15 [get_ports {Switch[5]}] set_property IOSTANDARD LVCMOS33 [get_ports {Switch[5]}] set_property PACKAGE_PIN W15 [get_ports {Switch[4]}] set_property IOSTANDARD LVCMOS33 [get_ports {Switch[4]}] # Switches 3-0 set_property PACKAGE_PIN W17 [get_ports {Switch[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {Switch[3]}] set_property PACKAGE_PIN W16 [get_ports {Switch[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {Switch[2]}] set_property PACKAGE_PIN V16 [get_ports {Switch[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {Switch[1]}] set_property PACKAGE_PIN V17 [get_ports {Switch[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {Switch[0]}] # Start set_property PACKAGE_PIN U18 [get_ports {Start}] set_property IOSTANDARD LVCMOS33 [get_ports {Start}] # LEDs set_property PACKAGE_PIN L1 [get_ports {LED[15]}] set_property IOSTANDARD LVCMOS33 [get_ports {LED[15]}] set_property PACKAGE_PIN P1 [get_ports {LED[14]}] set_property IOSTANDARD LVCMOS33 [get_ports {LED[14]}] set_property PACKAGE_PIN N3 [get_ports {LED[13]}] set_property IOSTANDARD LVCMOS33 [get_ports {LED[13]}] set_property PACKAGE_PIN P3 [get_ports {LED[12]}] set_property IOSTANDARD LVCMOS33 [get_ports {LED[12]}] set_property PACKAGE_PIN U3 [get_ports {LED[11]}] set_property IOSTANDARD LVCMOS33 [get_ports {LED[11]}] set_property PACKAGE_PIN W3 [get_ports {LED[10]}] set_property IOSTANDARD LVCMOS33 [get_ports {LED[10]}] set_property PACKAGE_PIN V3 [get_ports {LED[9]}] set_property IOSTANDARD LVCMOS33 [get_ports {LED[9]}] set_property PACKAGE_PIN V13 [get_ports {LED[8]}] set_property IOSTANDARD LVCMOS33 [get_ports {LED[8]}] set_property PACKAGE_PIN V14 [get_ports {LED[7]}] set_property IOSTANDARD LVCMOS33 [get_ports {LED[7]}] set_property PACKAGE_PIN U14 [get_ports {LED[6]}] set_property IOSTANDARD LVCMOS33 [get_ports {LED[6]}] set_property PACKAGE_PIN U15 [get_ports {LED[5]}] set_property IOSTANDARD LVCMOS33 [get_ports {LED[5]}] set_property PACKAGE_PIN W18 [get_ports {LED[4]}] set_property IOSTANDARD LVCMOS33 [get_ports {LED[4]}] set_property PACKAGE_PIN V19 [get_ports {LED[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {LED[3]}] set_property PACKAGE_PIN U19 [get_ports {LED[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {LED[2]}] set_property PACKAGE_PIN E19 [get_ports {LED[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {LED[1]}] set_property PACKAGE_PIN U16 [get_ports {LED[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {LED[0]}]