---------------------------------------------------------------------------------- -- Company: Cal Poly CPE 133 Project -- Engineer: -- -- Create Date: 11/13/2015 06:29:30 PM -- Design Name: -- Module Name: main_circuit - Behavioral -- Project Name: Solar Room Temperature Regulator -- Target Devices: -- Tool Versions: -- Description: This module allows for the a value to be stored as memory for one complete clock cycle of the main_circuit. -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity DFFmot is Port ( D : in STD_LOGIC; --Present state value. F_CLK : in STD_LOGIC; --The clock driven by the main circuit clock. Q : out STD_LOGIC); --Next state value. end DFFmot; architecture Behavioral of DFFmot is begin DFFmot : process(D, F_CLK) begin --This process allows for the circuit to store the previous state of a certain if (rising_edge(F_CLK)) then -- of a certain value, and stores it as memory for one whole clock cycle. Q <= D; end if; end process DFFmot; end Behavioral;