set_property PACKAGE_PIN W5 [get_ports CLK] set_property IOSTANDARD LVCMOS33 [get_ports CLK] create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports CLK] ##Pmod Header JA: C4 to G4 ## JA1 - C4 set_property PACKAGE_PIN J1 [get_ports {O4[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {O4[0]}] ## JA2 - Cs4 set_property PACKAGE_PIN L2 [get_ports {O4[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {O4[1]}] ## JA3 - D4 set_property PACKAGE_PIN J2 [get_ports {O4[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {O4[2]}] ##Sch name = JA4 - Ds4 set_property PACKAGE_PIN G2 [get_ports {O4[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {O4[3]}] ##Sch name = JA7 - E4 set_property PACKAGE_PIN H1 [get_ports {O4[4]}] set_property IOSTANDARD LVCMOS33 [get_ports {O4[4]}] ##Sch name = JA8 - F4 set_property PACKAGE_PIN K2 [get_ports {O4[5]}] set_property IOSTANDARD LVCMOS33 [get_ports {O4[5]}] ##Sch name = JA9 - Fs4 set_property PACKAGE_PIN H2 [get_ports {O4[6]}] set_property IOSTANDARD LVCMOS33 [get_ports {O4[6]}] ##Sch name = JA10 - G4 set_property PACKAGE_PIN G3 [get_ports {O4[7]}] set_property IOSTANDARD LVCMOS33 [get_ports {O4[7]}] #Pmod Header JXADC: G4, output, GND and 3.3V #Sch name = XA4_P - Gs4 set_property PACKAGE_PIN N2 [get_ports {O4[8]}] set_property IOSTANDARD LVCMOS33 [get_ports {O4[8]}] #Sch name = XA10 - output set_property PACKAGE_PIN N1 [get_ports {output}] set_property IOSTANDARD LVCMOS33 [get_ports {output}] ##Pmod Header JB: A4 - E5 ##Sch name = JB1 - A4 set_property PACKAGE_PIN A14 [get_ports {O4[9]}] set_property IOSTANDARD LVCMOS33 [get_ports {O4[9]}] ##Sch name = JB2 - As4 set_property PACKAGE_PIN A16 [get_ports {O4[10]}] set_property IOSTANDARD LVCMOS33 [get_ports {O4[10]}] ##Sch name = JB3 - B4 set_property PACKAGE_PIN B15 [get_ports {O4[11]}] set_property IOSTANDARD LVCMOS33 [get_ports {O4[11]}] ##Sch name = JB4 - C5 set_property PACKAGE_PIN B16 [get_ports {O5[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {O5[0]}] ##Sch name = JB7 - Cs5 set_property PACKAGE_PIN A15 [get_ports {O5[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {O5[1]}] ##Sch name = JB8 - D5 set_property PACKAGE_PIN A17 [get_ports {O5[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {O5[2]}] ##Sch name = JB9 - Ds5 set_property PACKAGE_PIN C15 [get_ports {O5[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {O5[3]}] ##Sch name = JB10 - E5 set_property PACKAGE_PIN C16 [get_ports {O5[4]}] set_property IOSTANDARD LVCMOS33 [get_ports {O5[4]}] ##Pmod Header JC: F5 - C6 ##Sch name = JC1 - F5 set_property PACKAGE_PIN K17 [get_ports {O5[5]}] set_property IOSTANDARD LVCMOS33 [get_ports {O5[5]}] ##Sch name = JC2 - Fs5 set_property PACKAGE_PIN M18 [get_ports {O5[6]}] set_property IOSTANDARD LVCMOS33 [get_ports {O5[6]}] ##Sch name = JC3 - G5 set_property PACKAGE_PIN N17 [get_ports {O5[7]}] set_property IOSTANDARD LVCMOS33 [get_ports {O5[7]}] ##Sch name = JC4 - Gs5 set_property PACKAGE_PIN P18 [get_ports {O5[8]}] set_property IOSTANDARD LVCMOS33 [get_ports {O5[8]}] ##Sch name = JC7 - A5 set_property PACKAGE_PIN L17 [get_ports {O5[9]}] set_property IOSTANDARD LVCMOS33 [get_ports {O5[9]}] ##Sch name = JC8 - As5 set_property PACKAGE_PIN M19 [get_ports {O5[10]}] set_property IOSTANDARD LVCMOS33 [get_ports {O5[10]}] ##Sch name = JC9 - B5 set_property PACKAGE_PIN P17 [get_ports {O5[11]}] set_property IOSTANDARD LVCMOS33 [get_ports {O5[11]}] ##Sch name = JC10 - C6 set_property PACKAGE_PIN R18 [get_ports {O5[12]}] set_property IOSTANDARD LVCMOS33 [get_ports {O5[12]}]