---------------------------------------------------------------------------------- -- Company: Cal Poly San Luis Obispo -- Engineer: Chase Timmins / Shivani Ganti -- -- Create Date: 11/28/2017 10:53:24 PM -- Design Name: -- Module Name: Fail_Counter - Behavioral -- Project Name: Pong_Game -- Description: -- -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Fail_Counter is Port ( Clk : in STD_LOGIC; CEN : in STD_LOGIC; RST : in STD_LOGIC; WA : out STD_LOGIC_VECTOR (10 downto 0); WD : out STD_LOGIC_VECTOR (7 downto 0)); end Fail_Counter; architecture Behavioral of Fail_Counter is -- Signals signal Arb_Count : std_logic_vector (10 downto 0) := "00000000000"; begin -- Counting: Simple counting process that acts as the control input for the mux counting: process (Clk,CEN,RST) variable col : unsigned (5 downto 0) := "000000"; variable row : unsigned (4 downto 0) := "00000"; begin if (RST = '1') then col := "000000"; row := "00000"; elsif (rising_edge(Clk)) then if (CEN = '1') then if ((col = "101000") and not (row = "11110")) then col := "000000"; row := row + 1; elsif ((col = "101000") and (row = "11110")) then col := "000000"; row := "00000"; else col := col + 1; end if; end if; -- This Conversion is used in order to obtain the correct address Arb_Count <= std_logic_vector(to_unsigned(to_integer(col) + to_integer(row)*64,Arb_Count'length)); end if; end process; multiplexer: process (Arb_Count) begin case (Arb_Count) is when "00010000010" => WA <= Arb_Count; WD <= X"FF"; when "00010000011" => WA <= Arb_Count; WD <= X"FF"; when "00010000100" => WA <= Arb_Count; WD <= X"FF"; when "00010000101" => WA <= Arb_Count; WD <= X"FF"; when "00010000110" => WA <= Arb_Count; WD <= X"FF"; when "00010000111" => WA <= Arb_Count; WD <= X"FF"; when "00010001000" => WA <= Arb_Count; WD <= X"FF"; when "00010001110" => WA <= Arb_Count; WD <= X"FF"; when "00010001111" => WA <= Arb_Count; WD <= X"FF"; when "00010010101" => WA <= Arb_Count; WD <= X"FF"; when "00010010110" => WA <= Arb_Count; WD <= X"FF"; when "00010011011" => WA <= Arb_Count; WD <= X"FF"; when "00010011100" => WA <= Arb_Count; WD <= X"FF"; when "00010100000" => WA <= Arb_Count; WD <= X"FF"; when "00010100001" => WA <= Arb_Count; WD <= X"FF"; when "00010100010" => WA <= Arb_Count; WD <= X"FF"; when "00010100011" => WA <= Arb_Count; WD <= X"FF"; when "00010100100" => WA <= Arb_Count; WD <= X"FF"; when "00010100101" => WA <= Arb_Count; WD <= X"FF"; when "00010100110" => WA <= Arb_Count; WD <= X"FF"; when "00011000001" => WA <= Arb_Count; WD <= X"FF"; when "00011000010" => WA <= Arb_Count; WD <= X"FF"; when "00011000011" => WA <= Arb_Count; WD <= X"FF"; when "00011000100" => WA <= Arb_Count; WD <= X"FF"; when "00011000101" => WA <= Arb_Count; WD <= X"FF"; when "00011000110" => WA <= Arb_Count; WD <= X"FF"; when "00011000111" => WA <= Arb_Count; WD <= X"FF"; when "00011001000" => WA <= Arb_Count; WD <= X"FF"; when "00011001101" => WA <= Arb_Count; WD <= X"FF"; when "00011001110" => WA <= Arb_Count; WD <= X"FF"; when "00011001111" => WA <= Arb_Count; WD <= X"FF"; when "00011010000" => WA <= Arb_Count; WD <= X"FF"; when "00011010101" => WA <= Arb_Count; WD <= X"FF"; when "00011010110" => WA <= Arb_Count; WD <= X"FF"; when "00011010111" => WA <= Arb_Count; WD <= X"FF"; when "00011011010" => WA <= Arb_Count; WD <= X"FF"; when "00011011011" => WA <= Arb_Count; WD <= X"FF"; when "00011011100" => WA <= Arb_Count; WD <= X"FF"; when "00011011111" => WA <= Arb_Count; WD <= X"FF"; when "00011100000" => WA <= Arb_Count; WD <= X"FF"; when "00011100001" => WA <= Arb_Count; WD <= X"FF"; when "00011100010" => WA <= Arb_Count; WD <= X"FF"; when "00011100011" => WA <= Arb_Count; WD <= X"FF"; when "00011100100" => WA <= Arb_Count; WD <= X"FF"; when "00011100101" => WA <= Arb_Count; WD <= X"FF"; when "00011100110" => WA <= Arb_Count; WD <= X"FF"; when "00100000001" => WA <= Arb_Count; WD <= X"FF"; when "00100000010" => WA <= Arb_Count; WD <= X"FF"; when "00100001100" => WA <= Arb_Count; WD <= X"FF"; when "00100001101" => WA <= Arb_Count; WD <= X"FF"; when "00100001110" => WA <= Arb_Count; WD <= X"FF"; when "00100001111" => WA <= Arb_Count; WD <= X"FF"; when "00100010000" => WA <= Arb_Count; WD <= X"FF"; when "00100010001" => WA <= Arb_Count; WD <= X"FF"; when "00100010101" => WA <= Arb_Count; WD <= X"FF"; when "00100010110" => WA <= Arb_Count; WD <= X"FF"; when "00100010111" => WA <= Arb_Count; WD <= X"FF"; when "00100011000" => WA <= Arb_Count; WD <= X"FF"; when "00100011001" => WA <= Arb_Count; WD <= X"FF"; when "00100011010" => WA <= Arb_Count; WD <= X"FF"; when "00100011011" => WA <= Arb_Count; WD <= X"FF"; when "00100011100" => WA <= Arb_Count; WD <= X"FF"; when "00100011111" => WA <= Arb_Count; WD <= X"FF"; when "00100100000" => WA <= Arb_Count; WD <= X"FF"; when "00101000001" => WA <= Arb_Count; WD <= X"FF"; when "00101000010" => WA <= Arb_Count; WD <= X"FF"; when "00101001100" => WA <= Arb_Count; WD <= X"FF"; when "00101001101" => WA <= Arb_Count; WD <= X"FF"; when "00101010000" => WA <= Arb_Count; WD <= X"FF"; when "00101010001" => WA <= Arb_Count; WD <= X"FF"; when "00101010101" => WA <= Arb_Count; WD <= X"FF"; when "00101010110" => WA <= Arb_Count; WD <= X"FF"; when "00101010111" => WA <= Arb_Count; WD <= X"FF"; when "00101011000" => WA <= Arb_Count; WD <= X"FF"; when "00101011001" => WA <= Arb_Count; WD <= X"FF"; when "00101011010" => WA <= Arb_Count; WD <= X"FF"; when "00101011011" => WA <= Arb_Count; WD <= X"FF"; when "00101011100" => WA <= Arb_Count; WD <= X"FF"; when "00101011111" => WA <= Arb_Count; WD <= X"FF"; when "00101100000" => WA <= Arb_Count; WD <= X"FF"; when "00110000001" => WA <= Arb_Count; WD <= X"FF"; when "00110000010" => WA <= Arb_Count; WD <= X"FF"; when "00110001011" => WA <= Arb_Count; WD <= X"FF"; when "00110001100" => WA <= Arb_Count; WD <= X"FF"; when "00110010001" => WA <= Arb_Count; WD <= X"FF"; when "00110010010" => WA <= Arb_Count; WD <= X"FF"; when "00110010101" => WA <= Arb_Count; WD <= X"FF"; when "00110010110" => WA <= Arb_Count; WD <= X"FF"; when "00110011000" => WA <= Arb_Count; WD <= X"FF"; when "00110011001" => WA <= Arb_Count; WD <= X"FF"; when "00110011011" => WA <= Arb_Count; WD <= X"FF"; when "00110011100" => WA <= Arb_Count; WD <= X"FF"; when "00110011111" => WA <= Arb_Count; WD <= X"FF"; when "00110100000" => WA <= Arb_Count; WD <= X"FF"; when "00110100001" => WA <= Arb_Count; WD <= X"FF"; when "00110100010" => WA <= Arb_Count; WD <= X"FF"; when "00111000001" => WA <= Arb_Count; WD <= X"FF"; when "00111000010" => WA <= Arb_Count; WD <= X"FF"; when "00111000101" => WA <= Arb_Count; WD <= X"FF"; when "00111000110" => WA <= Arb_Count; WD <= X"FF"; when "00111000111" => WA <= Arb_Count; WD <= X"FF"; when "00111001000" => WA <= Arb_Count; WD <= X"FF"; when "00111001011" => WA <= Arb_Count; WD <= X"FF"; when "00111001100" => WA <= Arb_Count; WD <= X"FF"; when "00111010001" => WA <= Arb_Count; WD <= X"FF"; when "00111010010" => WA <= Arb_Count; WD <= X"FF"; when "00111010101" => WA <= Arb_Count; WD <= X"FF"; when "00111010110" => WA <= Arb_Count; WD <= X"FF"; when "00111011011" => WA <= Arb_Count; WD <= X"FF"; when "00111011100" => WA <= Arb_Count; WD <= X"FF"; when "00111011111" => WA <= Arb_Count; WD <= X"FF"; when "00111100000" => WA <= Arb_Count; WD <= X"FF"; when "00111100001" => WA <= Arb_Count; WD <= X"FF"; when "00111100010" => WA <= Arb_Count; WD <= X"FF"; when "01000000001" => WA <= Arb_Count; WD <= X"FF"; when "01000000010" => WA <= Arb_Count; WD <= X"FF"; when "01000000101" => WA <= Arb_Count; WD <= X"FF"; when "01000000110" => WA <= Arb_Count; WD <= X"FF"; when "01000000111" => WA <= Arb_Count; WD <= X"FF"; when "01000001000" => WA <= Arb_Count; WD <= X"FF"; when "01000001011" => WA <= Arb_Count; WD <= X"FF"; when "01000001100" => WA <= Arb_Count; WD <= X"FF"; when "01000001101" => WA <= Arb_Count; WD <= X"FF"; when "01000001110" => WA <= Arb_Count; WD <= X"FF"; when "01000001111" => WA <= Arb_Count; WD <= X"FF"; when "01000010000" => WA <= Arb_Count; WD <= X"FF"; when "01000010001" => WA <= Arb_Count; WD <= X"FF"; when "01000010010" => WA <= Arb_Count; WD <= X"FF"; when "01000010101" => WA <= Arb_Count; WD <= X"FF"; when "01000010110" => WA <= Arb_Count; WD <= X"FF"; when "01000011011" => WA <= Arb_Count; WD <= X"FF"; when "01000011100" => WA <= Arb_Count; WD <= X"FF"; when "01000011111" => WA <= Arb_Count; WD <= X"FF"; when "01000100000" => WA <= Arb_Count; WD <= X"FF"; when "01000100001" => WA <= Arb_Count; WD <= X"FF"; when "01000100010" => WA <= Arb_Count; WD <= X"FF"; when "01001000001" => WA <= Arb_Count; WD <= X"FF"; when "01001000010" => WA <= Arb_Count; WD <= X"FF"; when "01001000111" => WA <= Arb_Count; WD <= X"FF"; when "01001001000" => WA <= Arb_Count; WD <= X"FF"; when "01001001011" => WA <= Arb_Count; WD <= X"FF"; when "01001001100" => WA <= Arb_Count; WD <= X"FF"; when "01001001101" => WA <= Arb_Count; WD <= X"FF"; when "01001001110" => WA <= Arb_Count; WD <= X"FF"; when "01001001111" => WA <= Arb_Count; WD <= X"FF"; when "01001010000" => WA <= Arb_Count; WD <= X"FF"; when "01001010001" => WA <= Arb_Count; WD <= X"FF"; when "01001010010" => WA <= Arb_Count; WD <= X"FF"; when "01001010101" => WA <= Arb_Count; WD <= X"FF"; when "01001010110" => WA <= Arb_Count; WD <= X"FF"; when "01001011011" => WA <= Arb_Count; WD <= X"FF"; when "01001011100" => WA <= Arb_Count; WD <= X"FF"; when "01001011111" => WA <= Arb_Count; WD <= X"FF"; when "01001100000" => WA <= Arb_Count; WD <= X"FF"; when "01010000001" => WA <= Arb_Count; WD <= X"FF"; when "01010000010" => WA <= Arb_Count; WD <= X"FF"; when "01010000111" => WA <= Arb_Count; WD <= X"FF"; when "01010001000" => WA <= Arb_Count; WD <= X"FF"; when "01010001011" => WA <= Arb_Count; WD <= X"FF"; when "01010001100" => WA <= Arb_Count; WD <= X"FF"; when "01010010001" => WA <= Arb_Count; WD <= X"FF"; when "01010010010" => WA <= Arb_Count; WD <= X"FF"; when "01010010101" => WA <= Arb_Count; WD <= X"FF"; when "01010010110" => WA <= Arb_Count; WD <= X"FF"; when "01010011011" => WA <= Arb_Count; WD <= X"FF"; when "01010011100" => WA <= Arb_Count; WD <= X"FF"; when "01010011111" => WA <= Arb_Count; WD <= X"FF"; when "01010100000" => WA <= Arb_Count; WD <= X"FF"; when "01011000001" => WA <= Arb_Count; WD <= X"FF"; when "01011000010" => WA <= Arb_Count; WD <= X"FF"; when "01011000011" => WA <= Arb_Count; WD <= X"FF"; when "01011000100" => WA <= Arb_Count; WD <= X"FF"; when "01011000101" => WA <= Arb_Count; WD <= X"FF"; when "01011000110" => WA <= Arb_Count; WD <= X"FF"; when "01011000111" => WA <= Arb_Count; WD <= X"FF"; when "01011001000" => WA <= Arb_Count; WD <= X"FF"; when "01011001011" => WA <= Arb_Count; WD <= X"FF"; when "01011001100" => WA <= Arb_Count; WD <= X"FF"; when "01011010001" => WA <= Arb_Count; WD <= X"FF"; when "01011010010" => WA <= Arb_Count; WD <= X"FF"; when "01011010101" => WA <= Arb_Count; WD <= X"FF"; when "01011010110" => WA <= Arb_Count; WD <= X"FF"; when "01011011011" => WA <= Arb_Count; WD <= X"FF"; when "01011011100" => WA <= Arb_Count; WD <= X"FF"; when "01011011111" => WA <= Arb_Count; WD <= X"FF"; when "01011100000" => WA <= Arb_Count; WD <= X"FF"; when "01011100001" => WA <= Arb_Count; WD <= X"FF"; when "01011100010" => WA <= Arb_Count; WD <= X"FF"; when "01011100011" => WA <= Arb_Count; WD <= X"FF"; when "01011100100" => WA <= Arb_Count; WD <= X"FF"; when "01011100101" => WA <= Arb_Count; WD <= X"FF"; when "01011100110" => WA <= Arb_Count; WD <= X"FF"; when "01100000010" => WA <= Arb_Count; WD <= X"FF"; when "01100000011" => WA <= Arb_Count; WD <= X"FF"; when "01100000100" => WA <= Arb_Count; WD <= X"FF"; when "01100000101" => WA <= Arb_Count; WD <= X"FF"; when "01100000110" => WA <= Arb_Count; WD <= X"FF"; when "01100000111" => WA <= Arb_Count; WD <= X"FF"; when "01100001011" => WA <= Arb_Count; WD <= X"FF"; when "01100001100" => WA <= Arb_Count; WD <= X"FF"; when "01100010001" => WA <= Arb_Count; WD <= X"FF"; when "01100010010" => WA <= Arb_Count; WD <= X"FF"; when "01100010101" => WA <= Arb_Count; WD <= X"FF"; when "01100010110" => WA <= Arb_Count; WD <= X"FF"; when "01100011011" => WA <= Arb_Count; WD <= X"FF"; when "01100011100" => WA <= Arb_Count; WD <= X"FF"; when "01100100000" => WA <= Arb_Count; WD <= X"FF"; when "01100100001" => WA <= Arb_Count; WD <= X"FF"; when "01100100010" => WA <= Arb_Count; WD <= X"FF"; when "01100100011" => WA <= Arb_Count; WD <= X"FF"; when "01100100100" => WA <= Arb_Count; WD <= X"FF"; when "01100100101" => WA <= Arb_Count; WD <= X"FF"; when "01100100110" => WA <= Arb_Count; WD <= X"FF"; when "10001000010" => WA <= Arb_Count; WD <= X"FF"; when "10001000011" => WA <= Arb_Count; WD <= X"FF"; when "10001000100" => WA <= Arb_Count; WD <= X"FF"; when "10001000101" => WA <= Arb_Count; WD <= X"FF"; when "10001000110" => WA <= Arb_Count; WD <= X"FF"; when "10001000111" => WA <= Arb_Count; WD <= X"FF"; when "10001001011" => WA <= Arb_Count; WD <= X"FF"; when "10001001100" => WA <= Arb_Count; WD <= X"FF"; when "10001010001" => WA <= Arb_Count; WD <= X"FF"; when "10001010010" => WA <= Arb_Count; WD <= X"FF"; when "10001010110" => WA <= Arb_Count; WD <= X"FF"; when "10001010111" => WA <= Arb_Count; WD <= X"FF"; when "10001011000" => WA <= Arb_Count; WD <= X"FF"; when "10001011001" => WA <= Arb_Count; WD <= X"FF"; when "10001011010" => WA <= Arb_Count; WD <= X"FF"; when "10001011011" => WA <= Arb_Count; WD <= X"FF"; when "10001011100" => WA <= Arb_Count; WD <= X"FF"; when "10001011111" => WA <= Arb_Count; WD <= X"FF"; when "10001100000" => WA <= Arb_Count; WD <= X"FF"; when "10001100001" => WA <= Arb_Count; WD <= X"FF"; when "10001100010" => WA <= Arb_Count; WD <= X"FF"; when "10001100011" => WA <= Arb_Count; WD <= X"FF"; when "10001100100" => WA <= Arb_Count; WD <= X"FF"; when "10001100101" => WA <= Arb_Count; WD <= X"FF"; when "10010000001" => WA <= Arb_Count; WD <= X"FF"; when "10010000010" => WA <= Arb_Count; WD <= X"FF"; when "10010000011" => WA <= Arb_Count; WD <= X"FF"; when "10010000100" => WA <= Arb_Count; WD <= X"FF"; when "10010000101" => WA <= Arb_Count; WD <= X"FF"; when "10010000110" => WA <= Arb_Count; WD <= X"FF"; when "10010000111" => WA <= Arb_Count; WD <= X"FF"; when "10010001000" => WA <= Arb_Count; WD <= X"FF"; when "10010001011" => WA <= Arb_Count; WD <= X"FF"; when "10010001100" => WA <= Arb_Count; WD <= X"FF"; when "10010010001" => WA <= Arb_Count; WD <= X"FF"; when "10010010010" => WA <= Arb_Count; WD <= X"FF"; when "10010010101" => WA <= Arb_Count; WD <= X"FF"; when "10010010110" => WA <= Arb_Count; WD <= X"FF"; when "10010010111" => WA <= Arb_Count; WD <= X"FF"; when "10010011000" => WA <= Arb_Count; WD <= X"FF"; when "10010011001" => WA <= Arb_Count; WD <= X"FF"; when "10010011010" => WA <= Arb_Count; WD <= X"FF"; when "10010011011" => WA <= Arb_Count; WD <= X"FF"; when "10010011100" => WA <= Arb_Count; WD <= X"FF"; when "10010011111" => WA <= Arb_Count; WD <= X"FF"; when "10010100000" => WA <= Arb_Count; WD <= X"FF"; when "10010100001" => WA <= Arb_Count; WD <= X"FF"; when "10010100010" => WA <= Arb_Count; WD <= X"FF"; when "10010100011" => WA <= Arb_Count; WD <= X"FF"; when "10010100100" => WA <= Arb_Count; WD <= X"FF"; when "10010100101" => WA <= Arb_Count; WD <= X"FF"; when "10010100110" => WA <= Arb_Count; WD <= X"FF"; when "10011000001" => WA <= Arb_Count; WD <= X"FF"; when "10011000010" => WA <= Arb_Count; WD <= X"FF"; when "10011000111" => WA <= Arb_Count; WD <= X"FF"; when "10011001000" => WA <= Arb_Count; WD <= X"FF"; when "10011001011" => WA <= Arb_Count; WD <= X"FF"; when "10011001100" => WA <= Arb_Count; WD <= X"FF"; when "10011010001" => WA <= Arb_Count; WD <= X"FF"; when "10011010010" => WA <= Arb_Count; WD <= X"FF"; when "10011010101" => WA <= Arb_Count; WD <= X"FF"; when "10011010110" => WA <= Arb_Count; WD <= X"FF"; when "10011011111" => WA <= Arb_Count; WD <= X"FF"; when "10011100000" => WA <= Arb_Count; WD <= X"FF"; when "10011100101" => WA <= Arb_Count; WD <= X"FF"; when "10011100110" => WA <= Arb_Count; WD <= X"FF"; when "10100000001" => WA <= Arb_Count; WD <= X"FF"; when "10100000010" => WA <= Arb_Count; WD <= X"FF"; when "10100000111" => WA <= Arb_Count; WD <= X"FF"; when "10100001000" => WA <= Arb_Count; WD <= X"FF"; when "10100001011" => WA <= Arb_Count; WD <= X"FF"; when "10100001100" => WA <= Arb_Count; WD <= X"FF"; when "10100010001" => WA <= Arb_Count; WD <= X"FF"; when "10100010010" => WA <= Arb_Count; WD <= X"FF"; when "10100010101" => WA <= Arb_Count; WD <= X"FF"; when "10100010110" => WA <= Arb_Count; WD <= X"FF"; when "10100011111" => WA <= Arb_Count; WD <= X"FF"; when "10100100000" => WA <= Arb_Count; WD <= X"FF"; when "10100100101" => WA <= Arb_Count; WD <= X"FF"; when "10100100110" => WA <= Arb_Count; WD <= X"FF"; when "10101000001" => WA <= Arb_Count; WD <= X"FF"; when "10101000010" => WA <= Arb_Count; WD <= X"FF"; when "10101000111" => WA <= Arb_Count; WD <= X"FF"; when "10101001000" => WA <= Arb_Count; WD <= X"FF"; when "10101001011" => WA <= Arb_Count; WD <= X"FF"; when "10101001100" => WA <= Arb_Count; WD <= X"FF"; when "10101001101" => WA <= Arb_Count; WD <= X"FF"; when "10101010000" => WA <= Arb_Count; WD <= X"FF"; when "10101010001" => WA <= Arb_Count; WD <= X"FF"; when "10101010010" => WA <= Arb_Count; WD <= X"FF"; when "10101010101" => WA <= Arb_Count; WD <= X"FF"; when "10101010110" => WA <= Arb_Count; WD <= X"FF"; when "10101010111" => WA <= Arb_Count; WD <= X"FF"; when "10101011000" => WA <= Arb_Count; WD <= X"FF"; when "10101011111" => WA <= Arb_Count; WD <= X"FF"; when "10101100000" => WA <= Arb_Count; WD <= X"FF"; when "10101100001" => WA <= Arb_Count; WD <= X"FF"; when "10101100010" => WA <= Arb_Count; WD <= X"FF"; when "10101100011" => WA <= Arb_Count; WD <= X"FF"; when "10101100100" => WA <= Arb_Count; WD <= X"FF"; when "10101100101" => WA <= Arb_Count; WD <= X"FF"; when "10101100110" => WA <= Arb_Count; WD <= X"FF"; when "10110000001" => WA <= Arb_Count; WD <= X"FF"; when "10110000010" => WA <= Arb_Count; WD <= X"FF"; when "10110000111" => WA <= Arb_Count; WD <= X"FF"; when "10110001000" => WA <= Arb_Count; WD <= X"FF"; when "10110001100" => WA <= Arb_Count; WD <= X"FF"; when "10110001101" => WA <= Arb_Count; WD <= X"FF"; when "10110010000" => WA <= Arb_Count; WD <= X"FF"; when "10110010001" => WA <= Arb_Count; WD <= X"FF"; when "10110010101" => WA <= Arb_Count; WD <= X"FF"; when "10110010110" => WA <= Arb_Count; WD <= X"FF"; when "10110010111" => WA <= Arb_Count; WD <= X"FF"; when "10110011000" => WA <= Arb_Count; WD <= X"FF"; when "10110011111" => WA <= Arb_Count; WD <= X"FF"; when "10110100000" => WA <= Arb_Count; WD <= X"FF"; when "10110100001" => WA <= Arb_Count; WD <= X"FF"; when "10110100010" => WA <= Arb_Count; WD <= X"FF"; when "10110100011" => WA <= Arb_Count; WD <= X"FF"; when "10110100100" => WA <= Arb_Count; WD <= X"FF"; when "10110100101" => WA <= Arb_Count; WD <= X"FF"; when "10111000001" => WA <= Arb_Count; WD <= X"FF"; when "10111000010" => WA <= Arb_Count; WD <= X"FF"; when "10111000111" => WA <= Arb_Count; WD <= X"FF"; when "10111001000" => WA <= Arb_Count; WD <= X"FF"; when "10111001100" => WA <= Arb_Count; WD <= X"FF"; when "10111001101" => WA <= Arb_Count; WD <= X"FF"; when "10111010000" => WA <= Arb_Count; WD <= X"FF"; when "10111010001" => WA <= Arb_Count; WD <= X"FF"; when "10111010101" => WA <= Arb_Count; WD <= X"FF"; when "10111010110" => WA <= Arb_Count; WD <= X"FF"; when "10111010111" => WA <= Arb_Count; WD <= X"FF"; when "10111011000" => WA <= Arb_Count; WD <= X"FF"; when "10111011111" => WA <= Arb_Count; WD <= X"FF"; when "10111100000" => WA <= Arb_Count; WD <= X"FF"; when "10111100001" => WA <= Arb_Count; WD <= X"FF"; when "10111100010" => WA <= Arb_Count; WD <= X"FF"; when "10111100011" => WA <= Arb_Count; WD <= X"FF"; when "11000000001" => WA <= Arb_Count; WD <= X"FF"; when "11000000010" => WA <= Arb_Count; WD <= X"FF"; when "11000000111" => WA <= Arb_Count; WD <= X"FF"; when "11000001000" => WA <= Arb_Count; WD <= X"FF"; when "11000001100" => WA <= Arb_Count; WD <= X"FF"; when "11000001101" => WA <= Arb_Count; WD <= X"FF"; when "11000001110" => WA <= Arb_Count; WD <= X"FF"; when "11000001111" => WA <= Arb_Count; WD <= X"FF"; when "11000010000" => WA <= Arb_Count; WD <= X"FF"; when "11000010001" => WA <= Arb_Count; WD <= X"FF"; when "11000010101" => WA <= Arb_Count; WD <= X"FF"; when "11000010110" => WA <= Arb_Count; WD <= X"FF"; when "11000011111" => WA <= Arb_Count; WD <= X"FF"; when "11000100000" => WA <= Arb_Count; WD <= X"FF"; when "11000100010" => WA <= Arb_Count; WD <= X"FF"; when "11000100011" => WA <= Arb_Count; WD <= X"FF"; when "11000100100" => WA <= Arb_Count; WD <= X"FF"; when "11001000001" => WA <= Arb_Count; WD <= X"FF"; when "11001000010" => WA <= Arb_Count; WD <= X"FF"; when "11001000111" => WA <= Arb_Count; WD <= X"FF"; when "11001001000" => WA <= Arb_Count; WD <= X"FF"; when "11001001101" => WA <= Arb_Count; WD <= X"FF"; when "11001001110" => WA <= Arb_Count; WD <= X"FF"; when "11001001111" => WA <= Arb_Count; WD <= X"FF"; when "11001010000" => WA <= Arb_Count; WD <= X"FF"; when "11001010101" => WA <= Arb_Count; WD <= X"FF"; when "11001010110" => WA <= Arb_Count; WD <= X"FF"; when "11001011111" => WA <= Arb_Count; WD <= X"FF"; when "11001100000" => WA <= Arb_Count; WD <= X"FF"; when "11001100011" => WA <= Arb_Count; WD <= X"FF"; when "11001100100" => WA <= Arb_Count; WD <= X"FF"; when "11001100101" => WA <= Arb_Count; WD <= X"FF"; when "11010000001" => WA <= Arb_Count; WD <= X"FF"; when "11010000010" => WA <= Arb_Count; WD <= X"FF"; when "11010000011" => WA <= Arb_Count; WD <= X"FF"; when "11010000100" => WA <= Arb_Count; WD <= X"FF"; when "11010000101" => WA <= Arb_Count; WD <= X"FF"; when "11010000110" => WA <= Arb_Count; WD <= X"FF"; when "11010000111" => WA <= Arb_Count; WD <= X"FF"; when "11010001000" => WA <= Arb_Count; WD <= X"FF"; when "11010001101" => WA <= Arb_Count; WD <= X"FF"; when "11010001110" => WA <= Arb_Count; WD <= X"FF"; when "11010001111" => WA <= Arb_Count; WD <= X"FF"; when "11010010000" => WA <= Arb_Count; WD <= X"FF"; when "11010010101" => WA <= Arb_Count; WD <= X"FF"; when "11010010110" => WA <= Arb_Count; WD <= X"FF"; when "11010010111" => WA <= Arb_Count; WD <= X"FF"; when "11010011000" => WA <= Arb_Count; WD <= X"FF"; when "11010011001" => WA <= Arb_Count; WD <= X"FF"; when "11010011010" => WA <= Arb_Count; WD <= X"FF"; when "11010011011" => WA <= Arb_Count; WD <= X"FF"; when "11010011100" => WA <= Arb_Count; WD <= X"FF"; when "11010011111" => WA <= Arb_Count; WD <= X"FF"; when "11010100000" => WA <= Arb_Count; WD <= X"FF"; when "11010100100" => WA <= Arb_Count; WD <= X"FF"; when "11010100101" => WA <= Arb_Count; WD <= X"FF"; when "11010100110" => WA <= Arb_Count; WD <= X"FF"; when "11011000010" => WA <= Arb_Count; WD <= X"FF"; when "11011000011" => WA <= Arb_Count; WD <= X"FF"; when "11011000100" => WA <= Arb_Count; WD <= X"FF"; when "11011000101" => WA <= Arb_Count; WD <= X"FF"; when "11011000110" => WA <= Arb_Count; WD <= X"FF"; when "11011000111" => WA <= Arb_Count; WD <= X"FF"; when "11011001110" => WA <= Arb_Count; WD <= X"FF"; when "11011001111" => WA <= Arb_Count; WD <= X"FF"; when "11011010110" => WA <= Arb_Count; WD <= X"FF"; when "11011010111" => WA <= Arb_Count; WD <= X"FF"; when "11011011000" => WA <= Arb_Count; WD <= X"FF"; when "11011011001" => WA <= Arb_Count; WD <= X"FF"; when "11011011010" => WA <= Arb_Count; WD <= X"FF"; when "11011011011" => WA <= Arb_Count; WD <= X"FF"; when "11011011100" => WA <= Arb_Count; WD <= X"FF"; when "11011011111" => WA <= Arb_Count; WD <= X"FF"; when "11011100000" => WA <= Arb_Count; WD <= X"FF"; when "11011100100" => WA <= Arb_Count; WD <= X"FF"; when "11011100101" => WA <= Arb_Count; WD <= X"FF"; when "11011100110" => WA <= Arb_Count; WD <= X"FF"; when others => WA <= Arb_Count; WD <= X"00"; end case; end process; end Behavioral;